EDA技术培训 百分网手机站

EDA考试复习题及答案

时间:2018-02-01 17:01:41 EDA技术培训 我要投稿

EDA考试复习题及答案

  EDA考试即将开启序幕,不知道做为考生的你准备好了吗?在此小编收集了一些复习题,供大家练习之用。

  一、填空题(本大题共10小题,每空1分,共20 分)

  1.一般把EDA技术的发展分为MOS时代、MOS时代和 ASIC三个阶段。

  2.EDA设计流程包括 设计输入、设计实现、实际设计检验和 下载编程四个步骤。

  3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

  4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的`时序关系仿真,因此又称为功能仿真。

  5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

  6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

  7.以EDA方式设计实现的电路设计文件,最终可以编程下到FPGA 和CPLD 芯片中,完成硬件设计和验证。

  8.MAX+PLUS的文本文件类型是(后缀名).VHD。

  9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录。

  10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

  二、选择题:(本大题共5小题,每小题3分,共15 分)。

  11. 在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )

  A.仿真器 B.综合器 C.适配器 D.下载器

  12. 在执行MAX+PLUSⅡ的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。

  A .Create default symbol B. Simulator

  C. Compiler D.Timing Analyzer

  13.VHDL常用的库是(A )

  A. IEEE B.STD C. WORK D. PACKAGE

  14.下面既是并行语句又是串行语句的是( C )

  A.变量赋值 B.信号赋值 C.PROCESS语句 D.WHEN…ELSE语句

  15.在VHDL中,用语句(D )表示clock的下降沿。

  A. clock’EVENT B. clock’EVENT AND clock=’1’

  C. clock=’0’ D. clock’EVENT AND clock=’0’

  三、名词解释题:(本大题共3题,每小题3分,共计9分)

  16. EDA: 电子设计自动化

  17.VHDL和FPGA: 超高速硬件描述语言 现场可编程门阵列

【EDA考试复习题及答案】相关文章:

1.EDA考试复习题

2.2017年EDA复习题及答案

3.EDA考试复习试题及答案

4.EDA技术与应用课后习题答案

5.EDA考试复习试题

6.2017年物流考试复习题及答案

7.2017年excel考试复习题及答案

8.报关考试复习题及答案