EDA技术培训 百分网手机站

基于EDA技术的现代电子设计方法

时间:2018-01-13 15:58:50 EDA技术培训 我要投稿

基于EDA技术的现代电子设计方法

  1.引言

基于EDA技术的现代电子设计方法

  集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。

  以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的`流程如下图:

  图1 传统电子设计流程图

  图2 基于EDA的现代电子设计流程图

  比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

  2.QuartusⅡ软件开发环境介绍

  QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

  图3 QuartusⅡ设计流程图

  3.在QuartusⅡ环境下的EDA方法设计实例

  下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于EDA技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

  3.1 在计算机上安装QuartusⅡ9.0版本软件

  QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

  3.2 D触发器功能分析

  从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

  表1 D触发器真值表

  输入d 时钟clk 输出q

  × 0 不变

  × 1 不变

  0 下降沿 0

  1 下降沿 1

  3.3 D触发器的VHDL描述设计

  下面给出D触发器的VHDL描述:

  library ieee;

  use ieee.std_logic_1164.all;

  entity dff1 is

  port(d,clk:in std_logic;

  q:out std_logic);

  end dff1;

  architecture bhv of dff1 is

  begin

  process(clk)

  begin

  if clk='1' then

  q<=d;

  end if;

  end process;

  end bhv;

  上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

  3.4 设计仿真

  VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

  图4 D触发器仿真波形图

  此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,说明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

  波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

  图5 D触发器逻辑电路图

  3.5 配置下载测试

  整个电路设计、编译仿真无误后,按照FPGA开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FPGA中,对电路进行测试、验证,完成电路的最终设计。

  4.结束语

  本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于EDA技术的现代电子设计方法。通过设计过程可知,DEA技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,EDA技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

【基于EDA技术的现代电子设计方法】相关文章:

1.EDA技术的电子设计要点

2.2016年EDA技术的电子设计要点

3.EDA技术在电子设计中的应用与特点

4.EDA技术的基础知识

5.EDA技术的工作原理

6.EDA技术的发展

7.EDA技术的概念

8.eda技术的基本特点